研究生创“芯”大赛——企业命题合集.docx

上传人:夺命阿水 文档编号:147641 上传时间:2022-12-28 格式:DOCX 页数:9 大小:24.58KB
返回 下载 相关 举报
研究生创“芯”大赛——企业命题合集.docx_第1页
第1页 / 共9页
研究生创“芯”大赛——企业命题合集.docx_第2页
第2页 / 共9页
研究生创“芯”大赛——企业命题合集.docx_第3页
第3页 / 共9页
研究生创“芯”大赛——企业命题合集.docx_第4页
第4页 / 共9页
研究生创“芯”大赛——企业命题合集.docx_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《研究生创“芯”大赛——企业命题合集.docx》由会员分享,可在线阅读,更多相关《研究生创“芯”大赛——企业命题合集.docx(9页珍藏版)》请在课桌文档上搜索。

1、附件2:“华为杯”第四届中国研究生创“芯”大赛企业命题合集电HUAWEI一、华为企业命题赛题一:主动降噪(ANC)降噪滤波器设计及实现赛题二:主动降噪(ANC)外围低延时升降采样滤波器设计及实现赛题三:逻辑实现高性能NTT(数论变换)多项式乘法赛题四:逻辑实现超低面积超低功耗带防护的AES算法赛题五:逻辑实现超高性能的SM4-GCM算法赛题六:小面积/低功耗TRNG端源设计以及实现。赛题七:基于ARMTrustZone架构的系统安全增强设计方案赛题八:硬件实现超低功耗乘法器赛题九:超高性能非对称算法RSA/ECC硬件实现作品提交要求:由于华为赛题的专项奖是线下评审,没有答辩环节,除按竞赛组委会

2、要求提交PPT外,还需按华为赛题要求提供文档和代码。如果是硬件作品,需提供照片或视频,含竞赛组成员合影。华为专项奖设置:华为公司为选作华为赛题的前15名赛队设立华为专项奖,获奖赛队可同时参评竞赛组委会设立的其它竞赛奖。华为专项奖一等奖5队,每队奖金1万元,华为专项奖二等奖10队,每队奖金05万元。华为命题专家咨询邮箱:wangbo24syopsys新思二、Synopsys企业命题赛题一:人工智能物联网/AIoT赛题二:物联网与系统安全/IoT&Security软硬件平台:ARCIoTDevelopmentKit开发板(IoTDK,基于ARCEM9D处理器)ARCEMStartKit开发板(EM

3、SK,基于ARCEM7D/EM9D/EM11D处理器)Zephyr,embARCOSP或其它开源软件平台TensorFlowLiteforMicrocontrollers(TFLM)ARCMachineLeaningInference(MLI1.1)软件库开发板申请:参赛者可于5月10号之前提交项目计划书,经组委会评审后择优发放开发板。项目计划书需包含方案概述、难点与创新点、可行性分析、开发计划等内容,模板在如下链接下载。作品提交要求:除按竞赛组委会要求提交PPT外,还需提供:详细设计文档和软硬件代码。作品展示视频。视频时长不超过8分钟,文件大小IOoMB以内。所有获奖作品需要上传至embAR

4、C开源软件平台应用板块,上传要求见如下链接说明。奖项设置:一等奖(两名):IoO(X)元/名二等奖(四名):5000元/名除奖金外,新思科技对优秀团队还提供如下奖励:择优给予获奖者实习生岗位机会;拟邀请优秀获奖者参加2021SynopsysARC处理器峰会,最终方案以新思科技官宣为准。Synopsys答疑邮箱:snps_arccJfVASEGROUP日月光集MS三、日月光企业命题赛题一.运用SiP的Chiplet模块化设计:赛题二.智能制造,工业物联网:赛题三.智慧城市/小区/校园/机场/港口/医疗:赛题四.智能汽车:实现智慧出行赛题五.TWSSiP真无线蓝牙系统级封装应用设计建议使用软硬件平

5、台:1. WiFi,硅光子,5G网路/AR/VR应用。2. IoTDK硬件开发板,和其他传感器MEMS&SENSOR开发套件(ex.Arduino,Nucleo等)。3. 开发软件(SDK)forGCC/KeilIDE开发平台,蓝牙(BLE)软件库forMESH网络互联。参赛要求:参赛队应项目计划书需包含:项目难点与创新、方案概述、可行性分析、人员组成与分工、开发计划等。作品提交要求:参赛队将完成的作品提交至大赛官网。作品形式为视频/带语音讲解的PPT及必要的技术文档,其中视频及PPT时长限制在8分钟内,大小不超过120M。日月光SiP创新奖奖项设置:一等奖(1队):人民币一万元二等奖(3队)

6、:人民币五千元galaxycre;四、格科微企业命题赛题一:片上高速环振PLL设计赛题二:多通道高速ADC的设计赛题三:基于行操作的大容量存储器的加速器设计输出要求:1 .给出设计文档:实现的功能、分析过程、网络描述、性能指标(基于公开常见数据集)、设计方案、激活值和权重在存储器中的映射、计算单元的调度控制过程等2 .给出RTL代码(不建议使用HLS生成)、验证环境、综合结果(SRAM等资源消耗情况、等效门数等)、RTL功耗分析结果、如果调度是软件控制请给出实现框图和软件代码、总结报告未来的改进方向3.设计中的难点解决与团队合作过程心得小结格科微企业专项奖奖项设置:一等奖(1队):人民币一万元

7、二等奖(3队):人民币五千元一.CadenCeACADEMICNETWORK五、Cadence企业命题赛题:三维集成电路互联优化算法赛题简介:对于由两个裸片(Die)面对面堆叠组成的一个三维芯片,根据两个芯片各自的标准单元摆放位置(standardCellplacement)以及他们之间的时序及连接关系,优化裸片之间放置的混合键合(HybridBonding)的位置及信号分配,从而使得三维芯片整体的绕线长度(Wirelength)以及时序(Timing)最优。赛题提交要求:成果展示PPT可重现结果的算法代码上下两层裸片各自的InnOVUS设计存盘数据(可供验证)第二题、第三题需提供时序分析报告

8、和对应的脚本(可供验证)提供的参考命令脚本:导入Innovus设计的示例脚本导入混合键合HB摆放位置的命令标准单元摆放、时序优化和绕线的示例脚本导出设计数据以及对整个三维芯片进行时序分析的示例脚本提供的软件:InnovusImplementationSystemTempusTimingSignoffSolution(以及相应用户手册)奖项设置:一等奖(一名):IOOoo元/名二等奖(三名):5000元/名除奖金外,Cadence楷登电子对优秀团队还择优给予获奖者实习生岗位机会Cadence楷登电子答疑邮箱:cadence_contestauvinic又内六、艾为电子企业命题赛题名称:18bit

9、24KHz信号带宽SigmadeltaADC设计软硬件开发平台:硬件平台:无。软件平台:电路仿真工具:ModelSim,VCS,Spectre等。建模工具:MATLAB,PythOn等。作品提交要求:模拟M需提供完整电路分析设计报告:i电路结构分析ii电路非理想性分析iii电路仿真结果数字滤波器需提供设计仿真报告:i结构分析ii.RTL代码与对应仿真结果iii模拟数字混合仿真结果(不必须)作品讲解及展示PPTo作品展示视频。视频时长不超过10分钟,文件大小IOOMB以内。艾为电子企业专项奖奖项设置:一等奖(1队):人民币一万元二等奖(2队):人民币五千元5位华章,X-EPIC七、芯华章企业命题

10、赛题一:RISC-V仿真并加速验证小系统设计赛题二:纠错编解码算法实现和验证赛题咨询邮箱:mingkecx-芯华章业专项奖奖项设置:一等奖(2队):人民币一万元二等奖(4队):人民币五千元O茴东科技O-bNUCLEI八、芯来科技企业命题赛题:RISC-VMCU嵌入式人工智能应用开发奖项设置:一等奖(一名):IoO(X)元/名二等奖(三名):5000元/名teradyne九、泰瑞达企业命题赛题一:利用Al技术优化模拟信号源赛题二:程序语言-UML时序智能生成器奖项设置:一等奖(一支队伍)奖金10,000人民币,公司暑期实习,优先录用二等奖(三支队伍)奖金5,000人民币每队,优先录用赛题专项答疑:Email地址:contest.china请注明:华为杯、参赛题目、参赛队伍信息(学校,队伍编号)、联系方式(姓名,email地址,联系电话等)、问题描述

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 在线阅读 > 生活休闲


备案号:宁ICP备20000045号-1

经营许可证:宁B2-20210002

宁公网安备 64010402000986号