课程设计心率监测器.docx

上传人:夺命阿水 文档编号:1051376 上传时间:2024-03-04 格式:DOCX 页数:26 大小:575.28KB
返回 下载 相关 举报
课程设计心率监测器.docx_第1页
第1页 / 共26页
课程设计心率监测器.docx_第2页
第2页 / 共26页
课程设计心率监测器.docx_第3页
第3页 / 共26页
课程设计心率监测器.docx_第4页
第4页 / 共26页
课程设计心率监测器.docx_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《课程设计心率监测器.docx》由会员分享,可在线阅读,更多相关《课程设计心率监测器.docx(26页珍藏版)》请在课桌文档上搜索。

1、电子技术基础题目名称:人体脉搏计姓名:学号:班级:指导教师:摘要21设计题目及规定31.1 设计题目31.2 设计目的313设计内容及规定31.4 脉搏计设计原理及其原理框图32设计方案42.1 方案背景42.2 方案提出43电路设计分析43.1 信号发生与采集43.2 2放大整形电路53.2.1放大电路53.2.2有源滤波电路63.2.3整形电路73.3倍频电路83. 4基准时间产生电路103.4 .1秒脉冲103.5 .215分频的2分频器113.5计数译码显示电路123.5.1计数电路123.5.2显示译码电路133.6控制电路153. 7试验设计总电路164所用元件及试验心得163.1

2、 元件列表164. 2试验心得175参照文献17附录18摘要伴随医学B发展和平常生活中,人们保健意识的提高,脉搏成了一项重要的生命指标,因此,脉搏0测量便成了越来越常见的一项体检项目之一。综合考虑到各个年龄段0脉搏特性(包括强度、速率和节律等),本次课程设计就针对这样一种切合实际B问题而进行的。首先进行仿真,外加一种脉搏信号,运用传感器接受脉搏信号并转换为电脉冲信号,然后将电脉冲信号进行放大,紧接着增大频率(即进行倍频处理),最终进行滤波处理,从而得到效果比较良好日勺电脉冲信号;与此同步,设计出能产生短时间日勺控制信号,以控制测量时间(本次设计时用到了施密特触发器);此外还要设计出控制电路,用

3、以保证在基准时间控制下,使倍频后的脉冲信号送到设计的计数、显示电路中。最终将整个电路图合并,便得到了我们期望的仿真电路图,并反复进行调试便可完毕仿真。最终我们将仿真电路图拿出来做实物,并将做好B实物进行反复日勺调试,直到调出对0成果,那么我们的课程设计便是成功地完毕了。关键字:脉搏计脉搏信号倍频滤波基准时间计数器数码管一tt*SSB三C1、题目:人体脉搏计2、设计目的:1、熟悉脉搏计电路的构成、工作原理和设计措施。2、掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用措施、特点、用途及重要参数的计算措施。3、熟悉集成电路74LSO0、74LS161.74LS48、555定期器、有源滤波电

4、路时特点、用途及重要参数的选择措施。3、设计规定为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团体合作B能力,通过实践制作一种数字频率计,学会合理B运用集成电子器件制作基于数字电路和模拟电路B课程设计与制作。电子脉搏计是用来测量一种人心脏跳动次数的电子仪器,也是心电图的重要构成部分。它是用来测量频率较低B小信号。规定:(1)实目前15s内测量IminB脉搏数;(2)用数码管将测得的脉搏数用数字的形式显示;(3)测量误差不大于土4次/min。注:正常人的脉搏数为6080次min,婴儿为90100次min,老人为100150次/min。4、脉搏计的基本原理及其原理框图分析设计题目规

5、定脉搏计是用来测量一种人心脏跳动次数的电子仪器,也是心电图B重要构成部分。由给出B设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几种毫安),它的基本功能应当是用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。在短时间内(15s内)测出每分钟的脉搏数。简朴脉搏计B框图如下图所示。EQ11瞌插件盾神毋Ea=*计方1、方案背景伴随人们生活水平的提高,心脏疾病的发病率呈上升趋势,已成为威胁人类身体健康B杀手之一。由于心脏病的发作具有突发性和随机性,所认为患者进行实时的测量监控已成为必然的趋势。伴随电子科技的不停发展,生命科学和信息科学B结合越来越紧密,许多研究人员都投

6、身于人类0健康事业之中。心率:用来描述心动周期的专业术语,是指心脏每分钟跳动的次数,以第一声音为准。心电信号是一种非常弱且频率较低的信号,一般幅值在O.05-5mv,频率在0.05-100Hzo脉搏波:人体心室周期性的收缩和舒张导致积极脉的收缩和舒张,使血流压力一波0形式从积极脉根部开始沿着整个动脉系统传播,这种波称为脉搏波。脉搏波所展现出的形态、强度、速率和节律等方面的综合信息,很大程度上反应出人体心血管系统中许多生理病理B血流特性。而心率日勺测量是一种评价病人生理状况很好的措施,心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏时测量,而脉搏

7、B测量更轻易实现特点,在实际应用中得到广泛运用。2、方案的提出1、传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。2、放大与整形电路将传感器B微弱信号放大,整形除去杂散信号。3、倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的!信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。4、基准时间产生电路产生短时间B控制信号,以控制测量时间。5、控制电路用以保证在基准时间控制下,使4倍频后日勺脉冲信号送到计数、显示电路中。6、计数、译码、显示电路用来读出脉搏数,并以十进制数日勺形式由数码管显示出来。7、电源电路按电路规定提供符合规定B直流电源。上述测量过程中,由于对脉

8、冲进行了4倍频,计数时间也对应地缩短了4倍(15s),而数码管显示日勺数字却是IminB脉搏跳动次数。用这种方案测量日勺误差为4次/min,测量时间越短,误差也越大。三电备修计与分常1、信号的发生与采集脉搏传感器的作用是将脉搏信号转换为响应B电冲信号。脉搏传感器是脉象检测系统中重要的构成部分,其性能的好坏直接影响到后置电路日勺处理和成果日勺显示。根据传感器工作原理,可分为物理传感器和化学传感器二大类:传感器工作原理的分类中物理传感器应用时是物理效应,诸如压电效应,磁致伸缩效应现象,离化、极化、热电、光电、磁电等效应。被测信号量日勺微小变化都将转换成电信号。这里传感器采用了红外光电转换器,作用是

9、通过红外光照射人日勺手指日勺血脉流动状况,把脉搏跳动转换为电信号,其原理电路如图3.1所示。图3.1中,红外线发光管VD采用TLP521-1,接受三极管V采用TLP521-1。用Vp=5VH矩形脉冲电源供电,R1m500,凡取10K2、放大整形电路1、放大电路:由于传感器发出B信号很微弱,只有几毫伏左右,因此采用放大电路实现信号放大作用,由于传感器输出电阻比较高,故放大电路采用了同相放大器,如图3.2.1所示,运放采用了LM324,电源电压+5V,放大电路的电压放大倍数为10倍左右。0J-图3.2.1参数的计算如下:由图3.2.1可知这是同相比例运算电路:由于运放输入的虚短虚断有:R3Ui=R

10、3+rMU。(1+上)M=+R3,应设计规定放大倍数在IO左右,在这里取16,有:/R4U+r3)=16令R3=10K求得:R4=150K;再由平衡电阻知识得:R5=R3R4=9.375K;又由于人体脉搏跳动频率限制,可取CI=100Uf。2、有源滤波电路采用了二阶压控有源低通滤波电路,如图所示,作用是把脉搏信号中的高频干扰信号去掉,同步把脉搏信号加以放大,考虑到去掉脉搏信号中的干扰尖脉冲,因此有源滤波电路的截止频率为IkHz左右。为了使脉搏信号放大到整形电路所需的电压值,一般电压放大倍数选用1.6倍左右。集成运放采用LM324。图电路中既引入了负反馈,又引入了正反馈。当信号频率趋于零时,由于

11、C3B电抗趋于无穷大,因而正反馈很弱;当信号频率趋于无穷大时,由于C4日勺电抗趋于零,因而Up(s)趋于零。可以想象,只要正反馈引入得当,就可以在f=f时使电压放大倍数数值增大,又不会因正反馈过强而产生自己振荡。由于同相输入端电位控制由集成运放和R8、R9构成日勺电压源,故称之为压控电压源滤波电路。参数计算如下:应设计规定放大倍数为1.6,即:R9Au=l+g=1.6;取R8=20K,即得:R9=12K.令R6=R7=R,C4=C3=C;由f二薪得:又因f=lkHz,取C=22nF,即得:R=7.2Kt,3、整形电路通过放大滤波后的脉搏信号仍是不规则的脉冲信号,且有低频干扰,仍不满足计数器的规

12、定,必须采用整形电路,这里选用了施密特触发器作为迟滞电压比较器,如图3.2.3所示,其目H是为了提高抗干扰能力。参数如下:5V图3.2.3作为上拉电阻选用RIO=IK。电压传播特性如下图所示:VDD传播特性放大整形部分仿真成果:注:黄色表达整形后的信号。3、倍频电路该电路B作用是对放大整形后H脉搏信号进行4倍频,以便在15s内测出1Inin内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。倍频电路的形式诸多,如锁相倍频器、异或门倍频器等,由于锁相倍频器电路比较复杂,成本比较高,因此这里采用了能满足设计规定BTTL异或门构成倍频路,如图所不。-.UO图U21A和U21B构成二倍频电路,运用

13、第一种异或门日勺延迟时间对第二个异或门产生作用,当输入由“0”变成“I”或由“I”变成“0”时,都会产生脉冲输出,输入输出波形如下图所示。倍频器时频率特性两个二倍频电路就构成了四倍频电路。电容器CrJ作用是为了增长延迟时间,从而加大而出脉冲宽度。异或门用74LS86o参数设置如下:由试验室提供元件可取:C6=C5=0.47FRlI=I50k4倍频电路仿真成果:4、基准时间产生电路基准时间产生电路的功能是产生一种周期为30s(即脉冲宽度为15s)的脉冲信号,以控制在15s内完毕一分钟的测量任务。实现这一功能B方案诸多,我们采用如图3.4.1B方案。友IDdlMWt114fa1KPrbPil151

14、由框图可知,该电路由秒脉冲发生器、十五分频电路和二分频电路构成。1、秒脉冲发生器VCC5VVCCO 500?R13 ,1U15k 3R1447FVCCRST OUTDISTHRTRICONG ID555_VIRTUAL Timer图3.6.2555多谐振荡器参数如下:电路通过RI3、R14充电时有:Tl=0.7(R13+R14)C通过R14放电有:T2=0.7Rl4C得:T=Tl+T2=0.7(R13+2Rl4)C由设计规定得T=Is,取C=47uF0得:R13+2Rl4=30.4K取Rl3=400欧,R14=15K.2、十五分频和二分频器电路如图2T3所示,由SN74161构成十五进制计数器

15、,进行十五分频,然后用CC4013构成二分频电路,产生一种周期为30s的方波,即一种脉宽为15s的脉冲信号。5U .QYOI?I OUa dN3 8 Q 8 0 8 图15分频和2分频电路基准时间产生电路仿真成果:5、计数译码显示电路1、计数电路由人体脉搏B实际状况,这里选用同步四位十进制计数器74LSl60。假如将三片74LS160直接并行连接即可得千进制计数器,三片芯片都为高电平以保证电路的工作。又考虑到人的脉搏数最高为:150次min,因此采用3位十进制计数器即可(即本次设计采用了3片74LS160构成了3位十进制计数器)。74LS160功能表如下表7.1:输入输出EpEtLerRd,C

16、p功能1111t四位十进制同步加计数XX01t寄存并行输入数据(预置数)0111X状态保持X011X触发器保持,进位输出为0XXX0X清零(立即、无条件)表3.7.174LS1600功能表2、译码显示由于74LS160输出的是8421BCD码,因此应选择一种可以讲8421BCD码译成7段输出信号以驱动数码管的芯片,因此本次设计采用集成74LS48七段显示译码器。附:74LS48BCD-七段显示译码器芯片简介:7448七段显示译码器输出高电平有效,用以驱动共阴极显示屏。该集成显示译码器设有多种辅助控制端,以增强器件的功能。7448有3个辅助控制端LT.RBI.BI/RBO,现简要阐明如下:1)、

17、灭灯输入BI/RBOBI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且Bl=O时,无论其他输入端是什么电平,所有各段输入ag均为0,因此字形熄灭。2)、试灯输入LT当LT=O时,BI/RBO是输出端,且RBO=1,此时无论其他输入端是什么状态,所有各段输出ag均为1,显示字形8。该输入端常用于检查7448自身及显示屏的好坏。3)、动态灭零输入RBl当LT=1,RBl=O且输入代码DCBA=OooO时,各段输出ag均为低电平,与BCD码对应B字形熄灭,故称“灭零二运用LT=I与RBI=O可以实现某一位的“消隐”。此时BI/RBO是输出端,且RBO=0。为了实现无用O

18、B灭零效果,可将低位0RBI信号接到高位0BI/RBO端。各片7448的LT均接高电平,当第一片BRBI=O且DCBA=OO00,因此第一片满足灭零条件,无字形显示,同步输出RBOO;第一片的RBO与第二片的RBl相连,使第二片也满足灭零条件,无显示并输出RBO=O;同理,第三片的零也熄灭,无显示。74LS48真值表如下表:十透*ABf/出字或功能LTRlilDCHARiXJabCde/g形OHHLLLLHHHHHHHLIIlXLLLHHLHHLLLLI42HXLLHLHHHLHHLH33HLLHHHHHHHLLH34HLHLLHLHHLLHHK5HLHLHHHLHHLHHS6HX1.HHLH

19、LLHHHHHb7HLHHHHHHHLLLLI8HXHLLLHHHHHHHH89HHLLHHHHHHLHH910HXHLHLHLLLHHLHCIlHXHLHHHLLHHLLH312HXHHLLHLHLLLHHU13HHHLHHHLLHLHHCMHXHHHLHLLLHHHH一15HXHHHHHLLLLLLLtm附XXXXXLLLLLLLL脉冲InlBHLLLLLLLLLLLLL灯冽丛LXXXHHHHHHHH8表74LS48真值表电路图如下图:2 S8B8 4LS160N,S888 8 1 .S160Nd o 0 S8B8 86(dddi (gS888S85SU3 74LS48DU2 74LS48

20、(U1 74LS48(u S 230 . 5030 .92. 5V S31 dN874LS160N4.告仿真成果:当输入2HzB待测信号,仿真成果为:ISIlllIlllIlll6、控制电路控制电路重要作用重要是控制脉搏信号经放大、整形、倍频后进行计数器的时间,此外还具有为各部分电路清零等功能功能简介:当开关转向电源端时,脉搏信号不能进入计数器,因此计数器不能对脉搏信号进行计数,且此时数码管保持上一次日勺测量成果.当把开关转向基准信号端时,当信号由低电平上升为高电平时,通过上升沿有效的边缘触发器将计数器置零,而此时,通过门电路日勺控制,脉搏信号开始进入计数器并且开始计数。当计数完毕后,将开关再

21、转向电源端。如下图3.8:7、设计完整电路图见附录:四、所用元件及试验心得1、元件列表序号元器件名称基本参数数量替代元器件参数备注1D触发器1274LS1603374LS16114LM3391555定期器(1个)5CC40601555定期器(1个)还包括替代一D触发器6运放2774LS101874LS001974LS8611074483118段显示屏312电阻3713电容614导线若干2试验心得本次试验由我和队员共同完毕,在这个过程中使我受益匪浅。在确定各模块电路B过程中,不仅训练了我们查找资料MJ能力,更是一次很好考验我们用所学的模拟电子技术基础和数字电子技术基础等有关知识来判断电路对B与否

22、B机会。通过本次课程设计0锻炼,自己的动手能力有了很大0提高,查找问题、处理问题的能力也有了对应的进步。当然,这次试验也让我看到了我们的诸多缺陷。首先就是在画原理图的时候没有看清数码管B管脚,以致出现乱码的J状况。尚有就是在确定方案之前,没有在试验板上认真搭建电路,事前摸清放大模块的工作状况。由于这两次较大的J失误使整个设计与制作过程耗时耗力。总的来说,本次设计有苦也有甜。设计思绪是最重要的,只要你的设计思绪是成功的,那你的设计已经成功了二分之一,因此我们应当在设计前做好充足的准备。同步纯熟地掌握书本上的知识,这对试验中出现的问题进行分析处理也是相称重要的。这次设计留给我们印象最深B是要设计一种成功B电路,必须要有耐心,更要有坚持的毅力。五、参照文献1 .数字电子技术基础唐治德2 .模拟电子技术基础唐治德3 .数字电子技术试验夏鸣风主编主编编附录:VCC5V_VCCIVCCJ5V

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 在线阅读 > 生活休闲


备案号:宁ICP备20000045号-1

经营许可证:宁B2-20210002

宁公网安备 64010402000986号