数字逻辑课程设计==-网络工程1001-2.docx

上传人:夺命阿水 文档编号:979797 上传时间:2024-02-20 格式:DOCX 页数:29 大小:405.31KB
返回 下载 相关 举报
数字逻辑课程设计==-网络工程1001-2.docx_第1页
第1页 / 共29页
数字逻辑课程设计==-网络工程1001-2.docx_第2页
第2页 / 共29页
数字逻辑课程设计==-网络工程1001-2.docx_第3页
第3页 / 共29页
数字逻辑课程设计==-网络工程1001-2.docx_第4页
第4页 / 共29页
数字逻辑课程设计==-网络工程1001-2.docx_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《数字逻辑课程设计==-网络工程1001-2.docx》由会员分享,可在线阅读,更多相关《数字逻辑课程设计==-网络工程1001-2.docx(29页珍藏版)》请在课桌文档上搜索。

1、课程设计报告课程名称数字逻辑课程设计课题任务一_八位全加器的设计课题任务二_十进制同步计数器的设计专业一网络工程班级100l学号姓名张剑指导教师刘洞波陈华光陈多2011年12月31日课程设计任务书课程名称数字逻辑课程设计课题任务八位全加器的设计课题任务二十进制同步计数的设计专业班级网络工程学生姓名IoOl学号201003120129指导老师刘洞波陈华光陈多审批任务书下达日期:2011年12月14日任务完成日期:2011年12月31日一、设计内容与设计要求1 .设计内容:本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电

2、子系统的设计中,掌握运用VHDL或者VerilogHDL设计电子系统的流程和方法,采用QUartUSII等工具独立应该完成2个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用QUartUSH进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。任务一:组合电路设计课题题目题目一4线-16线译码器电路设计;题目二16选I选择器电路设计;题目三4位输入数据的一般数值比拟器电路设计题目四10线-4线优先编码器的设计题目五8位全加器的设计任务二:时序电路设计课题题目题目一

3、RS触发器的设计;题目二JK触发器的设计;题目三D触发器的设计;题目四T触发器的设计;题目五十进制同步计数器的设计;2 .设计要求:课程设计报告标准课程设计报告应包含如下几个局部一.八位全加器的设计:D功能描述说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图全加器是能够计算低位进位的二进制加法电路一位全加器(FA)的逻辑表达式为:S=ABCinCo=AB+BCin+ACin其中A,B为要相加的数,Cin为进位输入;S为和,Co是进位输出;如果要实现多位加法可以进行级联,就是串起来使用;比方32位+32位,就需要32个全加器;这种级联就是串行结构速度慢,如果要并行快速相加可以用超

4、前进位加法,超前进位加法前查阅相关资料;如果将全加器的输入置换成A和B的组合函数Xi和Y(SO.S3控制),然后再将X,Y和进位数通过全加器进行全加,就是ALU的逻辑结构结构。即X=f(A,B)Y=f(A,B)不同的控制参数可以得到不同的组合函数,因而能够实现多种算术运算和逻辑运算真值表如下Ai为被加数,Bi为加数,相邻低位来的进位数为CiT,输出本位和为Si。向相邻高位进位数为Ci输入输出AiBiCi-ISiCi0000000110010100110110010101011100111111函数表达式Si=AiBiCiTCi=AiBi+Ci-l(AiBi)逻辑电路图2)详细设计按照VHDL语

5、言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。1.新建工程管理NewProjectWizard:Directory,Name,Top-LevelEntitypage1of5NeWProjectWizard:Family&DeviceSettingspage3of5Selectthefamilyanddeviceyouwanttotargetforcompilation.DevicefamilyShowinAvailabledevicelistFamily:MAXIl二JPackage:Any二Devices:AB色Pincount:IAnyTar

6、getdeviceSpeedgrade:AnyCAutodeviceselectedbytheFitterlShowadvanceddevices(SpecificdeviceselectedinAvailabledeviceslistHardCopycompatibleonlyAvailabledevices:NameCorev.LEsUFMbl.JA3333v3v3v333vOoooooooo777777777555555555EPM570M256C5EPM570M256I5EPM570TIoaA5EPM570T100C3EPM570T100C4EPM570T100C5EPM570T100

7、I5EPM570T144A5EPM570T144C3CompaniondeviceHardCopy:I三PLimitDSP&RAMtoHardCopydeviceresourcesFiniSh取消2.输入文本语言程序1LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEI:ENTITYTWOISPORT(AfB:INSTD_LOGIC-VECTOR(OTO7);CIN:INSTD_LOGIC;STD_LOGIC_VECTOR(0TO7);CO:OUTSTD-LIC);END;ARCHITECTUREX

8、IAOFTWOISTD二LoG工UVEcTOR(8DOWNTOO);BEGINAA=,0,fiA;BB=,O,B;CC=OOOOOOCC)-BEGINY=AA+BB+CC;ENDPROCESS;C(X三Y(8);SU14rTuNodeFinderNamed:P三Filter:Pins:all1.ookin:ICustomize.I.Includesubentities1.istQStopI工OKCancelNameAssignments是AUnassigned*A0UnassignedA1UnassignedA2UnassignedA3UnassignedI*A4Unassigned二*A5U

9、nassignedA6UnassignedDM7Unassigned最BUnassignedUnassignedUnassignedB2UnassignedB3UnassignedB4UnassignedB5UnassignedBUnassignedUnassignedDCINUnassiqnedNodesFound:JJqTW0B3UnassignedITW0B4Unassigned1TW0B5UnassignedMTWoIBUnassignedUTW0B7Unassigned*ItwoicinUnassiqnedSelectedNodes:6.形成综合编译后网表H)戏,7彩oa9a&Q念

10、TWO vhd。CompilationReportFlowSummaryD M 疮。1-ZX过心电W)PS10.0 ns I209 立Name14. 9E14.975 nsJ00 JA 10 1-A0A I 2-lA I 3-A2A I4-A3A I 5-A4A I 6A I- 7-A6A I 8LTA I后9日BA 10 10-B0A I 11-BlA I心12-B 2A I 13-B 3A I*14-B 4A I1615-B51A I/ IVff414.975 nsMaster Time Bar:17.45 nsInterval:2.48 nsStart:15-B5AI16-B6A17LB

11、7AI18CINAIQ19COAI020SUMA1OO.21-.0AI0z9:Q22-.UAI8Q23-.2AI324-.3A325CC-.41.lAIiMasterTimeBar:)PS6Interval:13.53ns10.0ns20”14.975nsJ9.配置管脚彩Quartus11-D:/课设sTWO-TWO-PinPlannerAleEditViewProcessingToolsWindowSI1 M J EXN曳 A 国回回一 M lI都邑遛Groups- Named:Top ViewMAX IlEPM570T100C5NodeNameDirectionLocationI/OBan

12、kVREFGroup233SUM4OutputPIN_35124QSUM5OutputPiN_36125今SUM6OutputPIN,38126QSUM7Outputi77Cd4,CCXO、Named:Vj型Ed:XaPIN.40匚CrlllccruuUl10.下载程序到芯片IJJOUU4以/IVVIVVWIIVVZVV4JLdProcessingToolsWindowMode: IJTAGfdwareSetup.NoHardwaredereal-timeISPtoallowbackgroundprogramming(forMAXIldevices)rtFileDeviceChecksumUs

13、ercodeProgram/ConfigureVerifyBlank-CheckExaminePKEmiEPM570T10000341170-CFM0-UFM0oDetecteteJFiIe.angeFile.eFile.jDevice.二.十进制同步计数器的设计1)功能描述说明设计器件的功能,包括真值表功能表),函数表达式,逻辑电路图2)详细设计按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。1.新建工程管理NewProjectWizard:Directory,Name,Top-LevelEntitypage1of5配置芯片NewP

14、rojectWizard:Family&DeviceSettingspage3of5Selectthefamilyanddeviceyouwanttotargetforcompilation.DevicefamilyFamily:MAXIl二JDevices:AII二ShowinAvailabledevicelistPackage:IAnyPincount:AnyFSpeedgrade:IAny三Showadvanceddevices厂HardCopycompatibleonlyTargetdeviceCAutodeviceselectedbytheFitter(Specificdevices

15、electedinAvailabledeviceslistAvailabledevices:NameCorev.LEsUFMbl.3v33v33v3v3v3v3vOoooooooo777777777555555555EPM570M256C4EPM570M256C5EPM570M256I5EPM570T100A5EPM570T100C3EPM570T100C4EPM570T100C5EPM570T100I5EPM570T144A5-CompaniondeviceHardCopy:JP*LimitDSP&RAMtoHardCopydeviceresourcesFiniSh取消新建完成NewProj

16、ectWizard:Summarypage5of5WhenyouclickFinish,theprojectwillbeCIeatedwiththefollowingsellings:Projectdirectory:D:俅设加Projectname:cnt10Top-leveldesignenty:Cntl0Numberoffilesadded:1Numberofu$erlibrariesadded:0Deviceassignments:Familyname:MAXIlDevice:EPM570T100C5EDAtb:Designentry/synthesis:Simulation:Timi

17、nganalysis:Operatingconditions:VCCINTvoltage:3.3VJUnCtiOntemperaturerange:085薛0);%23Cy-O,;/%24SElslfCn,EventandCn三,1,then25SIfEn=,l,theniS26SIfCqin1001then27Cqi三Cqi+l;舫28Cy=,O,;2930elsifcqi=n1001BthenCy*O);32endIf;-G33endIf;34endIf;35Dout三Cqi;36ENDProcess;37Endsunl;38393.编译编译成功lowSummaryFlowStatusQu

18、artusIIVersionRevisionNameTop-levelEntityNameFamilyDeviceTimingModelsMettimingrequirementsTotallogicelementsTotalpinsTotalvirtualpinsUFMblocksSuccessful-ThuDec2216:26:1920118.1Build16310/28/2008SJFullVersionent10ent10MAXIIEPM570T100C5FinalYes7/8/00/570(1%)76(11%)1(0%)4.选择波形编辑器NewSOPCBuilderSystem白De

19、signFilesjAHDLFileBlockDiagramZSchematicFileEDIFFileStateMachineFileSystemVeriIogHDLFileTclScriptFileVerilogHDLFileVHDLFiIeMemoryFilesHexadecimal(Intel-Formal)FileMemoryInilializationFileVerificationZDebuggingFiles-In-SystemSourcesandProbesFileLogicAnalyzerInterfaceFileSignaITapIlLogicAnalyzerFileVe

20、ctorWaveformFileOtherFilesAHDLIncludeFileBlockSymbolFileChainDescriptionFileSynopsysDesignConstraintsFileTextFileOKCancelWaveforml.vwf巴1Axc.英:ANXl电XSX2打国片口眼MsteTimeBai:14.975nsPointer:Interval:StartEnd:对应节点NodeFinderNamed:*l刃Filter:Pins:allSelectedNodes:Customize.ILiIncludesubentitiesStopC)KCancelNo

21、desFound: CnUnassignedBCyUnassigned DOUtUnassignedDout0Unassigned0 Dout1 Unassigned0Dout2Unassigned3 Dout3Unassigned EnUnassignedRestUnassignedNameAssignmentsNameIcnt1OICnQIcnt1OICyIentlOIDoutQICntl0Dout0QlentIOlDoUt殖CntlOIDOUU221Cntl0Dout31lendOIEnlendOIRestAssignmentsUnassignedIUnassigned(Unassign

22、ed(Unassigned(Unassigned(Unassigned(Unassigned(UnassignedIUnassignedI6.形成综合编译后网表dasterTimeBar:14.975ns2田DoutA0(7EnA0亘RestA04卜“JI7.进行仿真调试胫SimulatorTool8.生成波形图彩Quartus11-D:/课设bcntl-cntl-PinPlannerAleEditViewProcessingToolsWindowTop ViewMAX IlEPM570T100C5 *ooooooactvdd*oooNamed:VOEd:!FlN_27NodeNameDire

23、ctionLocationI/OBankVREFGroup60Dout0OutputPIN_18137EnInputPIN_26138RestInputPIN.2713911rForHelp,PreSSFl1O.下载程序到芯片No HardwareocessingToolsWindowSeCU 饱 BitMode:IJTAGFileDeviceChecksumUsercodeProgram/ConfigureVerifyBlank-CheckExamineeISPtoallowbackgroundprogramming(forMAXIldevices)3.设计问题及体会a.设计过程中遇到的问题

24、以及解决问题的方法。设计过程中遇到的主要困难就是源程序不会写,因为我们根本没有学过VHDL这门程序语言,即使在网上搜索的或图书馆找的的源程序,一旦出现错误,也很难自己改正。其次,就是对QUartUSn软件应用不熟悉,全英文式的操作界面,让这个英语很菜的我用的很费力,有有时操作到一半,竟不知下一步该怎么弄,又得去看教材或问同学,花费了很多时间。对于出现的这些问题,我会先查查资料,理解实验的具体信息,不懂的地方问同学或上网查资料。看看VHDL,理解源程序的组成,以便出现错误时能够自我改正。对于软件应用的不熟习,进行反复操作,增加熟练度。b.课程设计过程经验教训、心得体会。通过这次课程设计,加强了我

25、们动手、思考和解决问题的能力。在整个设计过程中,我设计了数字逻辑中八位全加器的设计和同步十进制的设计两个任务在设计过程中,经常会遇到这样那样的情况,就是对于VHDL中源代码中出现的错误不会改正,还有就是对QUamISn应用的不熟悉,有时竟忘了下一步该怎么操作,又得去查阅资料或阅读教材,因此消耗在这上面的时间用去很多。我觉得做课程设计同时也是对课本知识的稳固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用设计程序的功能,而且我们并没有学习VHDL这门程序语言,因此给课程设计造成了很大的困难。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多

26、东西。比方一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理

27、论学习中,很少有时机能有实践的时机,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路

28、,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否那么一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。而这次实习也正好锻炼我们这一点,这也是非常珍贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生旅途上一个非常美好的回忆。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,

29、从理论中得出结论,才能真正为社会效劳,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的缺乏之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!此次课程设计,学到了很多课内学不到的东西,比方独立思考解决问题,出现过失的随机应变,和与人合作共同提高,都受益非浅,

30、今后的制作应该更轻松,自己也都能扛的起并高质量的完成工程。在此,感谢于老师的细心指导,也同样谢谢其他各组同学的无私帮助!4)书写格式见附带说明。5)附录a.参考书目参考书目1EDA技术与VHDL程序开发根底教程雷伏容,李俊,尹直清华大学出版社978-7-302-22416-72010TP312VH/362VHDL电路设计技术王道宪贺名臣口刘伟国防工业出版社7-118-03352-92004TN702/623VHDL实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/14VHDL语言IoO例详解北京理工大学ASIC研究所7-9006257-900625-02-X1

31、999TP312VH/35VHDL编程与仿真王毅平等人民邮电出版社7-115-08641-9200073.9621/W38V6VHDL程序设计教程邢建平曾繁泰清华大学出版社7-302-11652-02005TP312VH/27/37VHDL电路设计雷伏容清华大学出版社7-302-14226-22006TN702/185b.源程序清单(带注释)八位全加器:1.IBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_AR1TH.ALL;ENTITYTWOISPORT(A,B:INS

32、TD.LIC-VECTOR(0TO7);CINJNSTD.LOGIC;SUMiOUTSTD_LOGIC_VECTOR(0TO7);CO:OUTSTD_LOGIC);END;ARCHITECTUREXIAOFTWOISSIGNALY,AA,BB,CC:STD_L0GIC_VECT0R(8DOWNTOO);BEGINAA=*O&A;BB=O&B;CC=,OOOOOOOO,fcCIN;PROCESS(AA,BB,CC)BEGINY=AA+BB+CC;ENDPROCESS;C0=Y(8);SUM0);Cy=,O,;ElsifCn1EventandCn-thenIfEn-thenIfCqit,1001thenCqi:=Cqi+l;Cy=,O;elsifcqi=,100,thenCy0);endIf;endIf;endIf;Dout=Cqi;ENDProcess;Endsunl;考核方式指导老师负责

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 在线阅读 > 生活休闲


备案号:宁ICP备20000045号-1

经营许可证:宁B2-20210002

宁公网安备 64010402000986号